Home| Contact Us| New Journals| Browse Journals| Journal Prices| For Authors|

Print ISSN: 0976-898X
Online ISSN:
0976-8998


  About JITR
  DLINE Portal Home
Home
Aims & Scope
Editorial Board
Current Issue
Next Issue
Previous Issue
Sample Issue
Upcoming Conferences
Self-archiving policy
Alert Services
Be a Reviewer
Publisher
Paper Submission
Subscription
Contact us
 
  How To Order
  Order Online
Price Information
Request for Complimentary
Print Copy
 
  For Authors
  Guidelines for Contributors
Online Submission
Call for Papers
Author Rights
 
 
RELATED JOURNALS
Journal of Digital Information Management (JDIM)
International Journal of Computational Linguistics Research (IJCL)
International Journal of Web Application (IJWA)

 

 
Journal of Information Technology Review
 

Hardware/software Configuration of a Low-power Processing System
Matteo Antonio Scrugli, Daniela Loi, Luigi Raffo, Paolo Meloni
Department of Electrical and Electronic Engineering & University of Cagliari, Italy
Abstract: Current mainstream approach to sensor data monitoring usually relies on cloud access: samples are acquired by connected devices and data processing is performed on remote servers. To improve responsiveness, security and resilience, devices and programming methodologies must be improved, with the aim of enabling data analytics at the edge. Unfortunately this is not an easy task, especially in the IoT domain. In this paper, we present a research approach that manages at runtime the hardware/software configuration of a low-power processing system, with the aim of adapting to dynamically changing workloads optimizing power-relevant settings to the corresponding operating point. First, we present a first validation experiment, involving a hardware-software architecture for a connected sensor-processing node that allows the set of in-place processing tasks to be executed to be remotely controllable by an external user. The designed system is capable of dynamically adapting its operating point to the selected computational load, to minimize power consumption. The benefits of the proposed approach are tested on a use-case involving ECG monitoring, that, when selected, performs ECG classification using a lightweight convolutional neural network. Experimental results show how the proposed approach can provide more than 50% power consumption reduction for common ECG activity, with less than 2% memory footprint overhead and reconfiguring the system in less than 1 ms. Second we present our plans to extend this approach to more complex multi-core systems.
Keywords: Sensor Data, IoT Nodes, ECG, Processing Systems Hardware/software Configuration of a Low-power Processing System
DOI:https://doi.org/10.6025/jitr/2019/10/4/109-118
Full_Text   PDF 1.6 MB   Download:   301  times
References:

[1] Adimulam, M. K., Srinivas, M. B. (2018). Ultra low power programmable wireless exg socdesign for iot healthcare system. In:Perego, P., Rahmani, A.M., TaheriNejad, N. (eds.) Wireless Mobile Communication and Healthcare. 41–49. Springer International Publishing, Cham.
[2] Carta, N., Meloni, P., Tuveri, G., Pani, D., Raffo, L. (2014). A custom mpsoc architecture with integrated power management for real-time neural signal decoding. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 4(2) 230–241. https:/ /doi.org/10.1109/JETCAS.2014.2315881
[3] Derin, O., Cannella, E., Tuveri, G., Meloni, P., Stefanov, T., Fiorin, L., Raffo, L., Sami, M. (2013). A system-level approach to adaptivity and fault-tolerance in noc-based mpsocs: The madness project. Microprocessors and Microsystems, 37(6-7), 515– 529. https://doi.org/10.1016/j.micpro.2013.07.007
[4] Desoli, G., Chawla, N., Boesch, T., Singh, S., Guidetti, E., De Ambroggi, F., Majo, T., Zambotti, P., Ayodhyawasi, M., Singh, H., Aggarwal, N. (2017).14.1 a 2.9tops/w deep convolutional neural network soc in fd-soi 28nm for intelligent embedded systems. In: 2017 IEEE International Solid-State Circuits Conference (ISSCC). 238–239 (February). https://doi.org/10.1109/ISSCC.2017.7870349 [5] Ghasemzadeh, H., Jafari, R. (2013). Ultra low-power signal processing in wearable monitoring systems: A tiered screening architecture with optimal bit resolution. ACM Transactions on Embedded Computing Systems. 13(1), 9:1–9:23 (September).
https://doi.org/10.1145/2501626.2501636, http://doi.acm.org/10.1145/2501626.2501636.
[6] Kaewkannate, K., Kim, S. (2018). The Comparison ofWearable Fitness Devices (10 2018). https://doi.org/10.5772/ intechopen.76967
[7] Lai, L., Suda, N., Chandra, V. (2018). CMSIS-NN: efficient neural network kernels for arm cortex-m cpus. CoRR abs/1801.06601 (2018), http://arxiv.org/abs/1801.06601
[8] Li, D., Zhang, J., Zhang, Q., Wei, X. (2017). Classification of ecg signals based on 1d convolution neural network. In: 2017 IEEE 19th International Conference on e- Health Networking, Applications and Services (Healthcom). 1–6 (October). https:// doi.org/10.1109/HealthCom.2017.8210784
[9] Macis, S., Loi, D., Pani, D., Raffo, L., Manna, S. L., Cestone, V., Guerri, D. (2015). Home telemonitoring of vital signs through a tv-based application for elderly patients. In: 2015 IEEE International Symposium on Medical Measurements and Applications (MeMeA) Proceedings. 169–174 (May). https://doi.org/10.1109/MeMeA.2015.7145193
[10] Magno, M., Pritz, M., Mayer, P., Benini, L. (2017). Deepemote: Towards multi-layer neural networks in a low power wearable multi-sensors bracelet. In: 2017 7th IEEE International Workshop on Advances in Sensors and Interfaces (IWASI). 32–37 (June).
https://doi.org/10.1109/IWASI.2017.7974208
[11] Meloni, P., Capotondi, A., Deriu, G., Brian, M., Conti, F., Rossi, D., Raffo, L., Benini, L. (2018). Neuraghe: Exploiting CPUFPGA synergies for efficient and flexible CNN inference acceleration on zynq socs. ACM Transactions on Reconfigurable Technology and Systems, 11(3) 1–24, (2018). https://doi.org/10.1145/3284357
[12] Meloni, P., Loi, D., Deriu, G., Pimentel, A.D., Sapra, D., Moser, B., Shepeleva, N., Conti, F., Benini, L., Ripolles, O., Solans, D., Pintor, M., Biggio, B., Stefanov, T., Minakova, S., Fragoulis, N., Theodorakopoulos, I., Masin, M., Palumbo, F. (2018). Aloha: An architectural-aware framework for deep learning at the edge. In: Proceedings of the Workshop on INTelligent Embedded Systems Architectures and Applications. 19–26. INTESA ’18, ACM, New York, NY, USA (2018). https://doi.org/10.1145/ 3285017.3285019, http://doi.acm.org/10.1145/3285017.3285019
[13] Pani, D., Meloni, P., Tuveri, G., Palumbo, F., Massobrio, P., Raffo, L. (2017). An fpga platform for real-time simulation of spiking neuronal networks. Frontiers in Neuroscience, 11. https://doi.org/10.3389/fnins.2017.00090 [14] Research, A. M. (2014). Internet of things (IoT) healthcare market - global opportunity analysis and industry forecast, 2014 - 2020 (2016), https://www.alliedmarketresearch.com/iot-healthcare-market
[15] Roberts, L., Michalak, P., Heaps, S., Trenell, M., Wilkinson, D., Watson, P. (2018).Au- tomating the placement of time series models for iot healthcare applications. In: 2018 IEEE 14 th International Conference on e-Science (e-Science). 290–291 (October).
https://doi.org/10.1109/eScience.2018.00056
[16] Scrugli, M. A ., Loi, D., Raffo, L., Meloni, P. (2019). A runtime-adaptive cognitive iot node for healthcare monitoring. 350–357 (04). https://doi.org/10.1145/3310273.3323160
[17] Tabal, K. M. R., Caluyo, F. S., Ibarra, J. B. G. (2016). Microcontroller-implemented artificial neural network for electrooculography-based wearable drowsiness detection system. In: Sulaiman, H. A., Othman, M. A., Othman, M. F. I., Rahim, Y. A., Pee, N.
C. (eds.) Advanced Computer and Communication Engineering Technology. pp. 461–472. Springer International Publishing, Cham.
[18] Tekeste, T., Saleh, H., Mohammad, B., Ismail, M. (2019). Ultra-low power qrs detection and ecg compression architecture for iot healthcare devices. IEEE Transactions on Circuits and Systems I: Regular Papers, 66(2) 669–679 (February). https://doi.org/ 10.1109/TCSI.2018.2867746
[19] Wang, C., Qin, Y., Jin, H., Kim, I., Granados Vergara, J. D., Dong, C., Jiang, Y., Zhou, Q., Li, J., He, Z., Zou, Z., Zheng, L. R., Wu, X., Wang, Y. (2019). A low power cardiovascular healthcare system with cross-layer optimization from sensing patch to cloud platform. IEEE Transactions on Biomedical Circuits and Systems, 1–1 (2019). https://doi.org/10.1109/TBCAS.2019.2892334 [20] Yang, Z., Zhou, Q., Lei, L., Zheng, K., Xiang, W. (2016). An iot-cloud based wearable ecg monitoring system for smart healthcare. Journal of Medical Systems, 40(12), 286 (October). https://doi.org/10.1007/s10916-016-0644-9, https://doi.org/10.1007/ s10916-016-0644-9


Home | Aim & Scope | Editorial Board | Author Guidelines | Publisher | Subscription | Previous Issue | Contact Us |Upcoming Conferences|Sample Issues|Library Recommendation Form|

 

Copyright © 2011 dline.info